aboutsummaryrefslogtreecommitdiffstats
path: root/Tests/hu.bme.mit.inf.dslreasoner.viatrasolver.logic2viatra.tests/src/hu/bme/mit/inf/dslreasoner/viatrasolver/logic2viatra/tests/interval/MinAggregatorTest.xtend
blob: 7d46e16c36b314fc960c6e0eec2231fcf2547a77 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
package hu.bme.mit.inf.dslreasoner.viatrasolver.logic2viatra.tests.interval

import com.google.common.collect.HashMultiset
import hu.bme.mit.inf.dslreasoner.viatrasolver.logic2viatra.interval.Interval
import hu.bme.mit.inf.dslreasoner.viatrasolver.logic2viatra.interval.IntervalAggregationMode
import hu.bme.mit.inf.dslreasoner.viatrasolver.logic2viatra.interval.IntervalAggregationOperator
import hu.bme.mit.inf.dslreasoner.viatrasolver.logic2viatra.interval.IntervalRedBlackNode
import java.math.BigDecimal
import java.util.Random
import org.junit.Assert
import org.junit.Before
import org.junit.Test

import static hu.bme.mit.inf.dslreasoner.viatrasolver.logic2viatra.interval.Interval.*

class MinAggregatorTest {
	val aggregator = new IntervalAggregationOperator(IntervalAggregationMode.MIN)
	var IntervalRedBlackNode value = null

	@Before
	def void reset() {
		value = aggregator.createNeutral
	}

	@Test
	def void emptyTest() {
		assertEquals(EMPTY)
	}

	@Test
	def void largeTest() {
		val starts = #[null, new BigDecimal(-3), new BigDecimal(-2), new BigDecimal(-1)]
		val ends = #[new BigDecimal(1), new BigDecimal(2), new BigDecimal(3), null]
		val current = HashMultiset.create
		val random = new Random(1)
		for (var int i = 0; i < 1000; i++) {
			val start = starts.get(random.nextInt(starts.size))
			val end = ends.get(random.nextInt(ends.size))
			val interval = Interval.of(start, end)
			val isInsert = !current.contains(interval) || random.nextInt(3) == 0
			if (isInsert) {
				current.add(interval)
			} else {
				current.remove(interval)
			}
			val expected = current.stream.reduce(aggregator.mode).orElse(EMPTY)
			update(interval, isInsert)
			assertEquals(expected)
		}
	}

	private def update(Interval interval, boolean isInsert) {
		value = aggregator.update(value, interval, isInsert)
		val nodes = newArrayList
		var node = value.min
		while (node !== null) {
			nodes += node
			node = node.successor
		}
		value.assertSubtreeIsValid
	}

	private def assertEquals(Interval interval) {
		val actual = aggregator.getAggregate(value)
		Assert.assertEquals(interval, actual)
	}
}